Was ist die selbst ausgerichtete Vierfachmustertechnologie (SAQP)?

March 28, 2024

Neueste Unternehmensnachrichten über Was ist die selbst ausgerichtete Vierfachmustertechnologie (SAQP)?

Multi-Patterning ist eine Technik zur Überwindung von lithographischen Einschränkungen in der Chipfertigung.Multi-Patterning ermöglicht es den Chipherstellern, IC-Designs mit 20 Nanometern und weniger zu erfassen.

Im Großen und Ganzen hat Multi-Patterning zwei Hauptkategorien: Pitch Splitting und Spacers.Unter den Abstandshalter fallen selbst ausgerichtetes Doppelmuster (SADP) und selbst ausgerichtetes Vierfachmuster (SAQP). Sowohl die Tonhöhenspaltung als auch die Abstandstechniken können sich auf das achtfache Muster erstrecken.

neueste Unternehmensnachrichten über Was ist die selbst ausgerichtete Vierfachmustertechnologie (SAQP)?  0

Der erste Typ, die Tonhöhenspaltung, wird hauptsächlich in der Logik verwendet.Doppelmusterung bezieht sich fast immer auf das Litho-Etch-Lito-Etch-Lito-Etch (LELE) Pechsplitting-VerfahrenBei der Waferherstellung benötigt LELE zwei unabhängige Lithographie- und Ätzschritte, um eine einzelne Schicht zu definieren.LELE kann teuer sein, da es die Prozessschritte in der Lithographie verdoppelt.

Diese Technik trennt zunächst Layouts, die nicht mit einer einzigen Belichtung gedruckt werden können, in zwei Masken mit niedrigerer Dichte.Dies bildet zwei grobere MusterSie werden kombiniert und überlagert, um eine feinere Bildgebung auf der Wafer zu ermöglichen.

LELE (d. h. doppeltes Patterning) stellt neue Anforderungen an das Layout, die physische Verifizierung und das Debugging für die Designer dar.Farben werden den Maskenlagen basierend auf den Abstandsvoraussetzungen zugewiesenDie Maskenlagen werden in zwei neue Schichten aus dem ursprünglich gezeichneten Layout segmentiert oder zerlegt.

Eine Schlüsselentscheidung in der Methodik ist, ob Designer einen "farblosen" Designfluss verfolgen möchten.Auswahl zwischen mehreren ZersetzungsmöglichkeitenNatürlich erfordert jeder Designfluss Kompromisse.

Bei 20 Nanometer Knoten verwenden Gießereien mehrere verschiedene Doppelmusterentwurfströme.Einer der häufigsten Flüsse erfordert eigentlich nicht, dass das Designteam seine Schichten in zwei Farben zerlegt. In bestimmten Fällen möchten Designer jedoch möglicherweise wissen, was die Farbzuordnung ist. Während dies vernünftig klingt, kann das Sehen von Doppelmusterfarben die Debugging-Effizienz möglicherweise verringern.

In der Zwischenzeit müssen sich die Chiphersteller bei 10nm-Knoten möglicherweise einer anderen Tonhöhe-Spaltungstechnik"Triple Patterning" zuwenden.LELELE ist ähnlich wie LELEBei der Waferherstellung erfordert LELELE drei unabhängige Lithographie- und Ätzschritte, um eine einzige Schicht zu definieren.

In der Konstruktion erfordert das Triple Patterning, dass die ursprüngliche Schicht in drei Masken zerlegt wird.Dreifache Muster können von außen harmlos erscheinenEs ist eine Herausforderung, EDA-Softwarealgorithmen zu entwickeln, die automatisch Schichten mit dreifachem Muster zerlegen, färben und überprüfen.Verstöße gegen das Dreifachmuster können sehr kompliziert sein., und Debugging kann schwierig sein.

In der Zwischenzeit sind die Abstandshalter die zweite Hauptkategorie des Multi-Patterning.SADP/SAQP wurde zuvor verwendet, um NAND-Flash auf den 1xnm-Knoten zu erweitern und tritt nun in das Logikfeld ein.

SADP ist eine Form des Doppelmusters, manchmal auch als Pitch Division oder Sidewall-Assisted Double Patterning bezeichnet.Das SADP-Verfahren verwendet einen Lithographie-Schritt zusammen mit zusätzlichen Ablagerungs- und Ätzschritten, um Merkmale ähnlich wie Abstandsmarkierungen zu definierenBei dem SADP-Prozess besteht der erste Schritt darin, Mandrels auf dem Substrat zu bilden. Dann bedeckt eine Ablagerungsschicht das Muster. Die Ablagerungsschicht wird dann weggeätzt und bildet Abstände.der obere Teil wird chemisch-mechanisch poliert (CMP).

SAQP ist im Wesentlichen zwei Zyklen der Sidewall-Spacer-Doppelmustertechnologie.Parallele Linien bilden sich zuerstIn der Zwischenzeit sind die Metallschichten in DRAM und Logikchips komplexer und können nicht durch SADP/SAQP erreicht werden.Die Designflexibilität von SADP/SAQP ist ebenfalls niedriger als bei LELE, während LELE-Technologien über Patterning benötigen.

SAQP steht für Self-Aligned Quadruple Patterning.

Nach verfügbaren Informationen ist die selbst ausgerichtete Vierfachmusterbildung (SAQP) die am weitesten verbreitete Technik für Musterbildung von Merkmalen mit Schrägen kleiner als 38 nm.voraussichtlich bis zu 19 nmEs integriert im Wesentlichen mehrere Prozessschritte und wurde bei der Musterung von FinFET- und 1X-DRAM-Flossen eingesetzt.Linien, die anfangs 80 nm voneinander entfernt sind, können 20 nm voneinander entfernt werden (wirksame Auflösung von 10 nm)Dies ist bedeutend, da es die Auflösung jedes Massenproduktionslithographischen Werkzeugs weit übertrifft, einschließlich EUV (das eine Auflösung von 13 nm erreicht).

neueste Unternehmensnachrichten über Was ist die selbst ausgerichtete Vierfachmustertechnologie (SAQP)?  1

Der Prozess teilt die Merkmale natürlich in drei Gruppen auf: Kern, Schale und Grenze (siehe Abbildung 2).die Grenze bildet ein Gitter, das auch segmentiert werden mussDer SAQP-Prozess muss daher mit einem Lithographie-Schritt abgeschlossen werden, bei dem die zuvor definierten Schale und Grenzmerkmale geschnitten oder beschnitten werden.Kern und Grenze.

neueste Unternehmensnachrichten über Was ist die selbst ausgerichtete Vierfachmustertechnologie (SAQP)?  2

Bei einer anderen Variante des SAQP-Verfahrensflusses (siehe Abbildung 3) sind die Muster der Schale eigentlich das verbleibende erste Abstandsmaterial, während Kern und Grenze verschiedene Materialien sind,entweder das Substrat oder das LückenfüllmaterialDaher sind sie in Abbildung 2 in verschiedenen Farben dargestellt.Dies bietet Möglichkeiten, einige herausfordernde Muster zu erreichen.

neueste Unternehmensnachrichten über Was ist die selbst ausgerichtete Vierfachmustertechnologie (SAQP)?  3

Eine besonders nützliche Anwendung ist die Kombination von minimalem Tonhöhen und 2x minimalem Tonhöhen.5Eine besonders schwierige Kombination sind Mindesthöhenlinien mit 2x Mindesthöhenunterbrechungen (siehe Abbildung 4, links).Das Beugungsmuster der Unterbrechungen ist viel schwächer als das der Linien selbst, weil sie eine viel kleinere Fläche einnehmenDiese Kombination kann auch nicht mit Assist-Funktionen fixiert werden, da es keinen Platz gibt, um sie einzufügen, um minimale Tonhöhenlinien zu erreichen.Auf der anderen Seite, durch selektives Ätzen können die Maskenmerkmale durch die zwischenliegenden Linien hindurchgehen (siehe Abbildung 4, rechts).Dies vereinfacht das Schneiden erheblich und vermeidet mögliche Fehler bei der Platzierung der Kanten, die beim getrennten Schneiden an zwei Stellen auftreten können.

neueste Unternehmensnachrichten über Was ist die selbst ausgerichtete Vierfachmustertechnologie (SAQP)?  4

Für die selektive Ätzung sind drei Masken erforderlich - eine zur Definition der separaten A/B-Regionen, die zweite Maske für die selektive Ätzung A und die dritte Maske für die selektive Ätzung B.Selektives Ätzen (in Kombination mit SAQP) ermöglicht auch größere Toleranzen für Überlappungen und eine Mindestanzahl von Masken, wodurch die Kombination von Mindest-Linienhöhe und Unterbrechungen bei doppelt so hoher Strecke ermöglicht wird, wodurch Multi-Patterning einfacher zu handhaben ist.

Zusammengefasst umfassen alle selbst ausgerichteten Multi-Patterning-Prozesse folgende Schritte:

  1. Drucken von Mandelspuren.
  2. Wachsende Seitenwände auf den gedruckten Mandelmustern.
  3. Ich entferne die Mandelmuster.
  4. Ich entwickle die letzten Muster zwischen den Seitenwänden.
  5. Hinzufügen von dielektrischen Blöcken, um den gewünschten Spitzen-zu-Spitzen-Ausstand im Endziel zu erreichen.
  6. Während wir uns weiterentwickeln, um fortschrittlichere Technologie-Knoten zu entwickeln, gestalten wir die kritischen Back-End-of-Line (BEOL) Metallschichten mit aggressiveren Tonhöhen, wie 32 Nanometer,wird extrem herausforderndIn der Regel entstehen in diesen BEOL-Schichten Gräben, die dann im letzten Metallisierungsschritt mit Metall gefüllt werden.Vertikalblockierungsschichten senkrecht zu den Gräben hinzugefügt, die kleine metallische Spitzen-zu-Spitzen-Abstände bilden.

    Innerhalb der Branche wurden verschiedene Optionen für die Gestaltung der aggressivsten BEOL-Schichten und -Blöcke in Betracht gezogen.Eine Option ist die Kombination von Immersionslithographie mit dem sogenannten Metal Line Self-Aligned Quadruple Patterning (SAQP)Diese Option erfordert jedoch dreifache Blockmasken und ein dreifaches Lithographieverfahren, was die Kosten und Komplexität der vorgeschlagenen Lösung erhöht.Eine andere Möglichkeit ist die direkte Verwendung von Extreme Ultraviolet Lithography (EUVL), um die BEOL-Metallschichten in einer einzigen Belichtung zu musternWährend dieser direkte EUVL-Integrationsprozess einfach und kostengünstig ist, sind die Treue (wie die Form) und Variabilität der Muster sowie die MaskenfertigungDie Entwicklung der, insbesondere bei sehr kleinen Spitzen-zu-Spitzen-Abständen.